Preprints

  1. Daniel Bochen Tan, Shuohao Ping, Jason Cong. Depth-Optimal Addressing of 2D Qubit Array with 1D Controls Based on Exact Binary Matrix Factorization. arXiv:2401.13807.
  2. Hanyu Wang, Bochen Tan, Jason Cong, Giovanni De Micheli. Quantum State Preparation Using an Exact CNOT Synthesis Formulation. arXiv:2401.01009.
  3. Neha Prakriya, Yuze Chi, Suhail Basalama, Linghao Song, Jason Cong. TAPA-CS: Enabling Scalable Accelerator Design on Distributed HBM-FPGAs. arXiv: 2311.10189. [PDF]
  4. Daniel Bochen Tan, Dolev Bluvstein, Mikhail D. Lukin, Jason Cong. Compiling Quantum Circuits for Dynamically Field-Programmable Neutral Atoms Array Processors. arXiv:2306.03487.
  5. Shichang Zhang, Atefeh Sohrabizadeh, Cheng Wan, Zijie Huang, Ziniu Hu, Yewen Wang, Yingyan (Celine) Lin, Jason Cong, Yizhou Sun. A Survey on Graph Neural Network Acceleration: Algorithms, Systems, and Customized Hardware. arXiv:2306.14052v1.
  6. Jason Cong, Michalis Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie. Locality and Utilization in Placement Suboptimality. arXiv:2305.16413.
  7. Linghao Song, Licheng Guo, Suhail Basalama, Yuze Chi, Robert F. Lucas, Jason Cong. Callipepla: Stream Centric Instruction Set and Mixed Precision for Accelerating Conjugate Gradient Solver. arXiv:2209.14350.
  8. Licheng Guo, Yuze Chi, Jason Lau, Linghao Song, Xingyu Tian, Moazin Khatti, Weikang Qiao, Jie Wang, Ecenur Ustun, Zhenman Fang, Zhiru Zhang, Jason Cong. TAPA: A Scalable Task-Parallel Dataflow Programming Framework for Modern FPGAs with Co-Optimization of HLS and Physical Design.
  9. Wan-Hsuan Lin, Bochen Tan, Murphy Yuezhen Niu, Jason Kimko, Jason Cong. Domain-Specific Quantum Architecture Optimization. arXiv:2207.14482.
  10. Zhe Chen, Garrett J. Blair, Changliang Guo, Alicia Izquierdo, Peyman Golshani, Jason Cong, Daniel Aharoni, Hugh T. Blair. A Hardware System for Real-Time Decoding of In-Vivo Calcium Imaging Data. bioRXiv: 2022.01.31.478424.
  11. Karl Marrett, Muye Zhu, Yuze Chi, Chris Choi, Zhe Chen, Hong-Wei Dong, Chang Sin Park, X. William Yang, Jason Cong. Recut: a Concurrent Framework for Sparse Reconstruction of Neuronal Morphology. bioRXiv: 2021.12.07.471686.
  12. Jie Wang, Jason Cong. Search for Optimal Systolic Arrays: A Comprehensive Automated Exploration Framework and Lessons Learned. arXiv:2111.14252.
  13. Saranyu Chattopadhyay, Florian Lonsing, Luca Piccolboni, Deepraj Soni, Peng Wei, Xiaofan Zhang, Yuan Zhou, Luca Carloni, Deming Chen, Jason Cong, Ramesh Karri, Zhiru Zhang, Caroline Trippel, Clark Barrett, Subhasish Mitra. Scaling Up Hardware Accelerator Verification using A-QED with Functional Decomposition. arXiv:2108.06081, August 17, 2021. [PDF]
  14. Young-kyu Choi, Yuze Chi, Jie Wang, Licheng Guo, Jason Cong. When HLS Meets FPGA HBM: Benchmarking and Bandwidth Optimization. arXiv:2010.06075, October 12, 2020. [PDF]
  15. Yuze Chi, Licheng Guo, Young-kyu Choi, Jie Wang, Jason Cong. Extending High-Level Synthesis for Task-Parallel Programs. arXiv:2009.11389, September 23, 2020. [PDF]
  16. Jason Cong, Zhenman Fang, Yuchen Hao, Peng Wei, Cody Hao Yu, Chen Zhang, Peipei Zhou. Best-Effort FPGA Programming: A Few Steps Can Go a Long Way. arXiv:1807.01340, July 3, 2018. [PDF]